Finality DeadEnd





BWFM メモ  2004-01-29 17:23  ルシファー      

実験室メール送れないぢゃん。

library IEEE;
use IEEE.std_logic_1164.all;

entity core is
port (
CLK : in std_logic;
SEN : in std_logic;
CLR : in std_logic;
LOD : out std_logic;
WRI : out std_logic;
RES : out std_logic;
COU : out std_logic
);
end core;

architecture RTL of core is
signal load,resi,write,switch,count : std_logic;
begin
process(CLK,CLR) begin
if (CLR = '0') then
load <= '0';
write <= '0';
resi <= '0';
switch <= '0';
count <= '0';
elsif(CLK'event and CLK = '1') then
count <= '1';
if(load = '0' and SEN = '0' and write = '0') then
load <= '1';
switch <= '1';
write <= write;
resi <= resi;
elsif(load = '1' and switch = '1' and write = '0') then
load <= '0';
write <= '1';
switch <= switch;
resi <= resi;
elsif(load = '0' and switch = '1' and write = '1') then
write <= '0';
resi <= '1';
load <= load;
switch <= switch;
elsif(SEN = '1' and resi = '1' and switch = '1') then
resi <= '0';
switch <= '0';
load <= load;
write <= write;
else
load <= load;
write <= write;
resi <= resi;
switch <= switch;
end if;
end if;
end process;
LOD <= load;
WRI <= write;
RES <= resi;
COU <= count after 1ns;
end RTL;



お名前 

メールアドレス 
アイコン一覧  固有アイコンを使わない
ホームページ 
タイトル 
書き込み 
  タグの使用は許可していません。 本文中のURL・メールアドレスには自動的にリンクが張られます。
 
 
 


 「メモ」の関連記事を一括表示 メモ  2004-01-29 17:23  ルシファー
      メモ2  2004-02-03 17:08  ルシファー

 


 ※ ご意見やご感想などはlucifer@s14.xrea.comへどうぞ
denim tree BBS standard version 1.0.02 [ 2000-11-05 ]